找回密码
 注册
关于网站域名变更的通知
查看: 1323|回复: 1
打印 上一主题 下一主题

利用vhdl实现分频

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2009-5-5 09:13 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
我想用vhdl实现时钟的分频,但我只会50%占空比的偶数分频,因为还是菜鸟,不太了解怎么进行奇数和小数分频,请大虾指教.最好有程序例子进行说明,谢谢

该用户从未签到

2#
 楼主| 发表于 2009-5-5 10:44 | 只看该作者
本帖最后由 chenqinte 于 2009-5-5 14:34 编辑 3 b$ g) Q* M( B* O" r5 q3 J

3 }+ B- o1 t! {- g$ q- R没人顶哦,这是我写的一个小程序不过仿真的结果可以实现3分频,但在板子上跑时,分频乱七八糟的,不知道为什么$ r: B# A3 h0 u! m+ @
library ieee;
6 L, N/ r$ k; Y6 I+ Q; b. m; \9 ^use ieee.std_logic_1164.all;) i$ u& s3 C: c9 n5 S
use ieee.std_logic_arith.all;
# n6 n8 h  }' `' w5 O* g' \use ieee.std_logic_unsigned.all;7 z3 f9 @7 E4 B3 ]$ E4 L+ Z: v( C! v, S) }
entity febpin is& g: T, m+ B5 d  i7 B7 _
port(0 d: l0 G! h: ~- o
  clk:in std_logic;$ J3 V6 B7 N* O& U6 ~
  div3:inout std_logic- b! ?" \6 W" r2 Z5 Y
  );1 ?- G( _0 G: m( q9 T
end;' M8 N0 v1 F7 X2 i
architecture behavioral of febpin is5 }6 \/ W; m' @3 h& J/ b! F
signal cnt:integer range 0 to 2;  b% y: ?' {, \; y# N
signal q:std_logic:='0';
: R% j! R0 o* B  S7 y* sbegin7 G. E% s1 }7 H) ^7 S4 z+ m! Q% v
process(clk)
, E/ ]3 \8 x" Y& m. Q! g" k begin
" J3 Q# b9 e/ A( o( i  d6 ^: B" | if (clk'event) then- {9 l/ @4 D8 y/ _+ I' i
  if cnt=2 then
' v  E% r7 Q. g8 Q, i+ Z  U( Z  q<=not q;
. C; q  x' a8 \( m+ i& _  cnt<=0;: {: X! \; }4 F# d/ D( q
  else
5 h. p' I' f- n5 c& h7 v  cnt<=cnt+1;& q' g: M( ]# E3 b/ A2 B  k
  end if;: w3 U+ `) ?8 A2 t" y
end if;
) A% S6 `% |& H% G- ?1 x end process;" j8 @" x) L# `0 w
div3<=q;
& h# `8 I- j1 v7 aend behavioral;
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-8-23 16:25 , Processed in 0.125000 second(s), 24 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表