找回密码
 注册
关于网站域名变更的通知
查看: 349|回复: 3
打印 上一主题 下一主题

简谈FPGA规范

[复制链接]

该用户从未签到

跳转到指定楼层
1#
发表于 2021-10-20 10:19 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式

EDA365欢迎您登录!

您需要 登录 才可以下载或查看,没有帐号?注册

x
在逻辑方面,我觉得比较重要的规范有这些:- C2 R, p5 s- u
! M( d7 t2 S1 }1 _
1.设计必须文档化。6 q4 v, i8 s, H( b8 a0 P
. L1 l" a5 }! i9 y) L6 d3 s+ K5 I" u
要将设计思路,详细实现等写入文档,然后经过严格评审通过后才能进行下一步的工作。这样做乍看起来很花时间,但是从整个项目过程来看,绝对要比一上来就写代码要节约时间,且这种做法可以使项目处于可控、可实现的状态。0 a( e1 R1 |+ V; R  L8 ~' z
3 M, A# L" ]0 _% b1 H. q( ?& p
2.代码规范。
5 Z% Y/ V: N1 n; y7 g
! K* T/ `9 A& x3 ~a.设计要参数化。比如一开始的设计时钟周期是30ns,复位周期是5个时钟周期,我们可以这么写:/ l% y) r, r; h* ^7 ?# ?4 _
7 |$ i" l+ s  {5 I. Q
parameter CLK_PERIOD = 30;
% d! V# P( ~  j& e* |8 K8 I
3 P' L7 Z+ i% n( F( `parameter RST_MUL_TIME = 5;0 R. w, G! r3 \$ o
) r1 h* b+ M* {1 u' ?
parameter RST_TIME = RST_MUL_TIME * CLK_PERIOD;4 F( W3 K! i7 r( z5 b
% f4 G0 V1 i1 _
...
- D$ O4 J- z1 C# B- Y" }0 n5 S8 i" m4 J1 O3 z) }
rst_n = 1'b0;% A# Q- n# f, ^  d

4 T$ P. o! e5 o5 Q  Y) q3 y6 d1 ?# RST_TIME rst_n = 1'b1;7 ^6 Q( R, G$ j1 M9 A
$ t! l$ ?; s+ o2 w1 n
...
1 v2 ]" c8 _" Z6 L' C$ a$ {% `" _5 }, I  y6 Y8 X
# CLK_PERIOD/2 clk <= ~clk;
- ^, U( \8 I  C# L! Q2 E
! Q8 m8 T3 r3 u3 J% S0 A: Z8 J7 J3 H如果在另一个设计中的时钟是40ns,复位周期不变,我们只需对CLK_PERIOD进行重新例化就行了,从而使得代码更加易于重用。
) R2 f& S* h- S; B; x& F% R1 d
b.信号命名要规范化。0 k1 i6 X+ D1 M+ f: D: u1 J+ a

7 Y6 h1 F8 r1 N) p8 }0 p" c1) 信号名一律小写,参数用大写。! r- ~; {/ [" y0 I# {
' @& p& g0 X# T$ j$ t" \. j; j0 v
2) 对于低电平有效的信号结尾要用_n标记,如rst_n。* H; U2 X. d- {1 b0 Y
1 W, U" g1 |: j+ n. a
3) 端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪个模块去的关系排列,这样在后期仿真验证找错时后 方便很多。如:; s  A3 U* q. y: ?% |# g" I8 K
/ F8 U2 B* p8 q
module a(
; _+ y, Y$ f" |) i) M
% x  ^: J7 [: d//input
, A% H5 ?+ ?, h  [1 _4 {; g1 _0 F% ~6 a! e
clk,9 ]7 Z$ A. Z/ e! ?

) n; f. ~! |  x, q' P' r3 k6 Rrst_n, //globle signal
" ]8 U& Z( C/ T  W9 H3 @6 W& v% h* X
" `) P3 x: g1 C2 r5 xwren,
5 V1 H) r& q$ o. [6 m5 W. B3 O& ~5 j6 A+ M0 y  n$ L& {$ d
rden,
& V4 m+ z% P1 m' q' T2 I) U- B6 [/ y! r5 z& w
avalon_din, //related to avalon bus7 t' t' B, W6 T3 a
, u" d1 [2 t$ f9 J/ c! \
sdi, //related to serial port input
* I1 ^9 w; S) u! @  S7 m7 V" K, `3 g/ n. D/ g$ A' B# r* X
//output
2 f- D: U9 E/ r. D3 K; r; s6 D- W; H  ~' p7 c' J
data_ready,7 Y4 W5 [% S. o+ o
' y' n) ?! J( R8 {( ^: h
avalon_dout, //related to avalon bus* Y! v( ^, P$ G2 U
9 F# q2 x9 \& r( s( Y" ?
...( c! N3 K2 t' u1 `
, s( u! |/ v8 `( B
);" E9 U! o8 r* h' w- S5 ~! B) L" Z

. y- w% M4 W7 t; Q: t1 I; F4) 一个模块尽量只用一个时钟,这里的一个模块是指一个module或者是一个entity。在多时钟域的设计中涉及到跨时钟域的设计中最好有专门一个模块做时钟域的隔离。这样做可以让综合器综合出更优的结果。
, x; ^6 C8 N7 q& y# [4 A; r
  |6 ?* a3 Z9 z5 z7 a( f& W! }% D
0 o" ~+ U* A; E; i: N! D, O
+ r( }6 v- D4 ]9 J; E/ D+ o6 C5) 尽量在底层模块上做逻辑,在高层尽量做例化,顶层模块只能做例化,禁止出现任何胶连逻辑(glue logic),哪怕仅仅是对某个信号取反。理由同上。
2 K9 b/ b1 {- ?* M6 g  k6 h0 M* A# f1 w
6) 在FPGA的设计上禁止用纯组合逻辑产生latch,带D触发器的latch的是允许的,比如配置寄存器就是这种类型。
3 J2 t5 U, @7 F6 q' `1 T- f0 e2 r, S! ^# W1 k9 }
( n. q8 ?" p% |+ y
5 f1 f2 w* w8 p% v5 u2 I
7) 一般来说,进入FPGA的信号必须先同步,以提高系统工作频率(板级)。所有模块的输出都要寄存器化,以提高工作频率,这对设计做到时序收敛也是极有好处的。
& ?2 x! J) h. G2 x3 d* ^! C# G, K- s- i: E2 Z! I+ ~! _

. C+ O+ _4 {2 H: ~5 e: u9 g" O0 V
. t! }2 t8 q' C9) 除非是低功耗设计,不然不要用门控时钟--这会增加设计的不稳定性,在要用到门控时钟的地方,也要将门控信号用时钟的下降沿 打一拍再输出与时钟相与。9 R4 X: |" _2 @$ {( k1 I' n
  y# d1 T7 ~: a& g6 g
最好的解决门控时钟的办法是使用或门(上升沿触发),如果门控触发器是下降沿触发,则应该使用与门。4 D, B2 s' ~! q3 ?6 h! k

8 d, O- G/ k% W: W4 f3 aclk_gate_en -------- ----
; F- N$ C* P" d. x! s. _
" M* R: W* x4 D0 }/ _" b-----------------|D Q |------------------| \ gate_clk' {2 Z* R2 j4 h/ k$ u2 H1 [" l% @
; p+ {" @0 S8 T# l4 T
_out# @) v# |; U) p" Y
8 m2 E% J* c5 J$ P) N
| | ---------| )--------
/ U5 H# ?9 S3 D
( Y* o+ P/ x) G! ~4 f" G-1 U) f! D0 T" C( R) n# X

; M' Z4 l* }  G6 Y9 o------o|> | | | /
! d. s- r1 X  T' y+ f5 G
2 l2 a6 F5 t! D! b! @% t8 f( Xclk | -------- | ----
) _( m$ u7 R' Y6 }( S2 N* S' y1 f0 e# Z7 W$ Q# H/ n
------------------------------------$ O2 e2 A4 e$ I! U
& r7 r+ J8 q8 Z8 ?8 I0 U; M+ I
10)禁止用计数器分频后的信号做其它模块的时钟,而要用改成时钟使能的方式,否则这种时钟满天飞的方式对设计的可靠性极为不利,也大大增加了静态时序分析的
% i9 R  l$ G* d; a2 X: M) ?. \. @6 N- s: E9 x
复杂性。如FPGA的输入时钟是25M的,现在系统内部要通过RS232与PC通信,要以rs232_1xclk的速率发送数据。: ]5 _# S3 T  Z, z2 i
9 y; Z4 T7 x. w: y
不要这样做:
$ n" `+ Y& N- a
. ?: v  D2 G- halways (posedge rs232_1xclk or negedge rst_n)' g- Y3 [# A& O/ F

( g  U  d& J) \4 q. V, m7 `6 Jbegin  H/ M9 l! v2 o4 h! V% V3 L3 Z
* e% J: ~, `/ f) y" \: C1 @, Z
...
- _, t. N9 s! k, n) y; r
+ {$ c  \  W: |$ zend, g$ n, V  v) g; a1 \+ h8 W! R
2 L0 [* B( X& d
而要这样做:& w! F& P# [( ]3 v- Z
3 G  `8 A$ T1 R; k* r: u" U  i
always (posedge clk_25m or negedge rst_n)1 v8 d; P2 |& R  I

2 Z* [' @; {+ d  cbegin
  V2 c7 N& N: p# f; l0 f
4 x: W" }% l3 E) h8 [7 [...
) h( v  A; P! A5 d1 `* O6 J& r; }
else if ( rs232_1xclk == 1'b1 )
# w' m- d; `" r: F# ]
3 a5 Q( a" ~+ Q# G...
7 x; e0 {$ P2 C9 `1 }, J4 O: ^: f3 V" n! F" ?6 X. F1 i  G- [, l( K* [
end
& D& h, M  X1 `3 ~! o) z& I
2 ?9 g* |4 _& @2 z11)状态机要写成3段式的(这是最标准的写法),即
' v0 I- x$ f" m: `  d3 ^$ J2 @' s7 X. D# Z3 F) g9 z5 P8 T
...0 Z8 n, _6 j# ]+ h. S) g

! b* q! h/ ~# N  I9 H; B4 K# B4 falways @(posedge clk or negedge rst_n)+ D+ U3 z/ F; }: E8 w, v% Y+ D

% s6 J7 o1 o; @2 s3 `...  g2 R! U8 w/ ~8 H% R5 l
& H! D/ t2 o+ ]' k. i* b" S
current_state <= next_state;
0 V3 R+ z8 K8 B) U" k& V# g) H6 `& U9 A  p' Y! O
...
9 _4 k# B, a  b3 H# g0 `, f+ E
' ~, f4 q$ {- Q0 Qalways @ (current_state ...)
. t) ]) A2 M8 n) V, ~- I
: U( j& F" t0 b6 s...: B  \! E/ w( E& g( x( E; y% n4 T1 h
+ K6 O; e) s6 p- ^0 G
case(current_state)
3 o; {7 I- r5 q5 k" j) T
( I0 A9 k3 K; S8 I1 r$ Z% s) T, B6 y...
. G) ^( x+ H6 _7 y: X* G3 R$ B1 H/ K1 ^2 J# O; U7 n
s1:
' I4 u9 ~0 V; ]/ w$ c: M7 `  M7 h) o5 X2 `" {" ^) T8 B
if .... r% I& W# i5 I, B7 V9 u( K
2 Y" A" P4 b  q& z8 z! e" E2 B
next_state = s2;
; K3 W, |8 K* i/ Q' F, n) {& U" ^% G* s" g6 ~5 ?+ @1 U
...
4 \* r! B+ a1 Y, M1 u; I  h% Y" A+ g, F9 ^! E6 ^- j# r/ c1 u
...
) ]" B' I: }& N4 w& W  q8 m8 o: X
# [$ V$ y  B* k' ^1 ]2 y; L6 Galways @(posedge clk or negedge rst_n): y3 |+ H' T# C

; ?' I' a1 M/ Y; A0 t) N5 Q...
6 d; N* s7 E2 T2 w2 t+ t' P- Z: Z8 e3 e' {* O5 j
else
) i1 [  T4 B: j  @8 H$ ~4 E- a* X! U, {$ h1 V# @7 L, e
a <= 1'b0;+ Z- q% A* W' n! _% `) }
4 p) W* U0 k2 \
c <= 1'b0;
% @6 x& _& P* A. h' J7 t
! }6 V: h/ d6 D1 sc <= 1'b0; //赋默认值) Q3 H2 Z6 e/ [
4 S) v" j5 s3 _  P
case(current_state)
" u! v& t9 T4 q7 {6 e+ w- D" ]. a* b& P
s1:# j$ h" D4 J6 x+ E
2 H& e) l3 I5 f2 @8 p6 r
a <= 1'b0; //由于上面赋了默认值,这里就不用再对b、c赋值了(b、c在该状态为0,不会产生锁存器,下同)
* ^# O7 U) d) n3 b
8 E$ P2 C& S0 S* K7 H) C" ^s2:: N7 l" U& ~3 w1 W& |

8 S9 m7 H: ?' ?# w, n5 kb <= 1'b1;& }6 A! _% ~" n

( l  v/ }9 ^& L1 Ls3:; Z& V8 g( [+ r: a4 r0 i7 J) w  L
, T+ n; ]5 \$ P
c <= 1'b1;
9 M. I% Q1 F9 |2 P2 e7 E1 F2 j, ]" i# ^5 _' }9 M& I( e
default:# K5 _6 u: g9 {4 l
! T1 ]. n; l# F- a) ^2 V4 B# W
...
6 U, N! f' I- w- Z8 l& f' g6 [9 h/ H6 D
...
! m# b2 G/ t+ W  G4 ?  g0 F. V% j9 d) ]( h2 U1 w. V
//第一个进程,同步时序always模块,格式化描述次态寄存器迁移到现态寄存器4 X2 h5 x! [* P7 x

8 x) X0 ?5 [# ^always @ (posedge clk or negedge rst_n) //异步复位1 K0 L. B# w5 W. s+ |3 k1 ~+ o. o

$ s! H  D. \9 `" ~+ zif(!rst_n)$ J9 G. \6 X0 ~$ y

) A! A# O3 s2 c7 e7 ~0 {current_state <= IDLE;' h' W# M. M5 R9 G1 [* T2 I5 n

+ d4 A- I' s5 Y9 K% Eelse" W& V, T3 T/ N& c# ], P, Y
9 Y* \; S1 U- E7 ~: V# `
current_state <= next_state; //注意,使用的是非阻塞赋值/ M; \2 ?5 K9 Y$ O) u1 H

% r" Q+ @9 z- ?' L# ?. w//第二个进程,组合逻辑always模块,描述状态转移条件判断
3 e. S! \4 H0 R$ ~* x7 d) z/ }4 P" g8 u( s
always @ (current_state) //电平触发- u. }2 s, s4 c% E1 L
- P, m4 G+ v$ R& b+ P" @( p* X
begin
5 p" G; @8 h8 P5 S
" b' q4 u  a' i" }/ rnext_state = x; //要初始化,使得系统复位后能进入正确的状态
% S! v* A8 S# ]( q5 c2 V* j0 g  V. d4 w$ ^- C1 M
case(current_state)
1 t0 b+ Y4 }) e+ S9 X. ]
1 t* x6 @( |/ c! M3 Y. S/ S. FS1: if(...)
* R3 W; Z) X7 |3 g* B7 x8 Y0 O# ~# |" w* Y4 n( v6 c+ H& p
next_state = S2; //阻塞赋值
# o! r7 m) p6 d+ b) z9 J" o# z
2 B2 {! Q$ v! }' v+ c8 c; `...
; W8 K1 b; z% e" e" }- h1 @
  f# B6 O2 w' vendcase' E; B# ]2 f: U& H) q: b4 \5 t
% {. |. `, D6 @/ f' [
end; y6 g1 N. ?) \6 U7 c

$ U% t. ]- K- k//第三个进程,同步时序always模块,格式化描述次态寄存器输出
" p1 X: @1 G( I1 J( H' Z2 r) X: x  B/ L
always @ (posedge clk or negedge rst_n)! `1 ~0 I, B9 G/ i; ]$ w! J' T

0 x2 d& |" w& C9 v# H7 `# x...//初始化( q1 M" R' P& D( x" E% r

+ O0 z; ?8 O) R7 h4 X  l7 s8 M2 S+ ccase(next_state)
: s  ^( q9 M: ?2 i/ T" y- Q& p: A# H. ]+ O5 X) ]$ w9 o
S1:
" A- g, Q0 Z; O& h8 k/ }
& L2 q' [* R3 w6 F3 vout1 <= 1'b1; //注意是非阻塞逻辑+ N! q, x2 H4 i5 U* h. Q

8 l( x; x' y7 I* y& }2 gS2:  H: W3 D1 p0 t- K7 W

5 N7 i8 s" ]: l. z- \2 O# S. Hout2 <= 1'b1;
* Y7 g& w+ O4 P2 C' S  W6 S, r( a$ {5 g7 Q% Y4 j
default:... //default的作用是免除综合工具综合出锁存器
" W( _( h: h7 `, |
5 r' H+ y7 t; s4 [1 u( |endcase/ T% c3 Y; m7 @1 d# V9 X$ J$ j

* Z% a$ `6 ]' b/ x0 Z5 a/ ^2 Rend
) v2 \, K, j0 R/ s, _% N/ ~- @) Y$ q: J  ?
3.ALTERA参考设计准则
) _  P9 Y  X! W/ d6 M" i
- T  `7 q  h% _; _1) Ensure Clock, Preset, and Clear configurations are free of glitch2 K8 e3 @( o# M) u

! @7 q2 t5 u( i! Mes.(确保时钟、预置、清零的结构单元没有毛刺)( U$ d1 q0 C0 h  ~

; H) s! M# n& S! w& h6 U) o3 B$ N2) Never use Clocks consisting of more than one level of combinatori
7 E1 D) `. X* i0 _! D+ Q9 P' H+ m# y$ T: F, ~3 ^& p$ t0 P" {
al logic.(决不使用由多于一个水平的组合逻辑组成的时钟)
2 z/ Y0 k3 L, L" e
+ Q  y. t3 G9 ?1 l0 t3) Carefully calculate setup times and hold times for multi-Clock sy% L4 x! T; I5 m
0 |( c! y2 n/ v. Q+ D
stems.(在多时钟系统中认真的计算建立时间和保持时间)
. K& |, W% A; J0 M6 M* ]0 V6 P) h, L2 C7 x+ {/ z  L& r
4) Synchronize signals between flipflops in multi-Clock systems when/ B+ h3 V9 A- z9 ]' O

! v+ b! y' v0 F+ e+ nthe setup and hold time requirements cannot be met.当建立时间和保持时间不能够同时满足时,同步多时钟系统中各触发器之间的信号。. B' G, Y# c  |- R2 Y9 c

2 Q) |5 [0 P* o' B5) Ensure that Preset and Clear signals do not contain race conditio1 a) t4 p. M3 z3 D& \

2 _- u) G8 q* ~! e# a8 jns.(确保预置和清零信号不包含竞争冒险)$ ?) N9 R) L9 ~8 I: z
2 \# ]8 H8 ^6 r- B: v8 t
6) Ensure that no other internal race conditions exist.(确保不存在其他内部的竞争冒险)
6 C* L1 |7 L$ ~' |. R+ j. c7 ?. d% [+ G0 T7 J2 a% J) {" W) }
7) Register all glitch-sensitive outputs.(寄存器都是对毛刺敏感的输出)
& c3 O& C8 J5 g6 _. M$ h) E, i+ U2 v# E& K
Synchronize all asynchronous inputs.(同步所有的非同步输入)" g; N% v% q3 ~' ^8 J
4 T6 ~9 _) p6 t2 v7 i  U9 {9 S
9) Never rely on delay chains for pin-to-pin or internal delays.(同步所有的非同步输入)9 ]( v7 f* n/ r2 Q. \4 ~
5 G5 Z0 C' c; c' H2 C+ ?% Y
10)Do not rely on Power-On Reset. Use a master Reset pin to clear al
$ c! S* _. X0 z, B' L: J5 e& i! E$ d/ n# Y) Z4 G
l flipflops.不要依赖于上电复位。用主复位引脚去复位所有的寄存器. M7 `) Q- C5 ^4 G& R  U

2 K$ T  B8 h1 Y+ O6 `( b0 e* b11)Remove any stuck states from state machines or synchronous logic.(从状态机或同步逻辑中去掉那些冗余的状态)
; K) N* E* ]; t+ e0 W: r

该用户从未签到

2#
发表于 2021-10-20 11:07 | 只看该作者
要将设计思路,详细实现等写入文档,然后经过严格评审通过后才能进行下一步的工作
- {) e1 M, p$ i

该用户从未签到

3#
发表于 2021-10-20 11:27 | 只看该作者
端口信号排列要统一,一个信号只占一行,最好按输入输出及从哪个模块来到哪个模块去的关系排列,这样在后期仿真验证找错时后 方便很多
/ b7 F. Q" T( d6 y" L9 F7 c

该用户从未签到

4#
发表于 2021-10-20 13:26 | 只看该作者
禁止用计数器分频后的信号做其它模块的时钟,而要用改成时钟使能的方式,否则这种时钟满天飞的方式对设计的可靠性极为不利,也大大增加了静态时序分析的
; r: t, I. G6 l+ w5 w
您需要登录后才可以回帖 登录 | 注册

本版积分规则

关闭

推荐内容上一条 /1 下一条

EDA365公众号

关于我们|手机版|EDA365电子论坛网 ( 粤ICP备18020198号-1 )

GMT+8, 2025-11-1 08:38 , Processed in 0.140625 second(s), 23 queries , Gzip On.

深圳市墨知创新科技有限公司

地址:深圳市南山区科技生态园2栋A座805 电话:19926409050

快速回复 返回顶部 返回列表